当前位置: 首页 > news >正文

深圳专业网站建设产品运营之中的广度讲解推广文章

深圳专业网站建设产品运营之中的广度讲解,推广文章,wordpress服务器内部错误,做外贸的物流网站北邮22信通一枚~ 跟随课程进度更新北邮信通院数字系统设计的笔记、代码和文章 持续关注作者 迎接数电实验学习~ 获取更多文章,请访问专栏: 北邮22级信通院数电实验_青山如墨雨如画的博客-CSDN博客 目录 一.顶层模块的书写 二.两种验证方法 2.1使用…

北邮22信通一枚~

跟随课程进度更新北邮信通院数字系统设计的笔记、代码和文章

持续关注作者 迎接数电实验学习~

获取更多文章,请访问专栏:

北邮22级信通院数电实验_青山如墨雨如画的博客-CSDN博客

 

目录

一.顶层模块的书写

 二.两种验证方法

2.1使用FPGA开发板验证

2.1.1管脚分配

2.1.2实验效果

2.2使用仿真验证

2.2.1仿真代码的书写

 2.2.2仿真结果


一.顶层模块的书写

D_trigger.v

module D_trigger
(input clk,input rst,input d,output reg q
);always @ ( posedge clk or negedge rst)begin if(!rst)q<=1'b0;else q<=d;end
endmodule

 二.两种验证方法

2.1使用FPGA开发板验证

2.1.1管脚分配

2.1.2实验效果

烧录过后,第一个且仅第一个LED灯亮。

将第一个拨码开关调至ON,LED熄灭;

按K1,灯亮。

注意,K1,在开发板上有标注!!!和大脚丫一样的 

2.2使用仿真验证

2.2.1仿真代码的书写

D_trigger_tb.v

`timescale 1ns/1ps
`define clk_period 10
module D_trigger_tb;reg clk;reg rst;reg d;wire q;D_trigger trigger_1
(.clk(clk),.rst(rst),.d(d),.q(q)
);initial clk=1;always@(`clk_period/2)begin clk=~clk;end
initial begin rst = 0;#100;rst = 1;#1000;rst = 0;#100;$stop;endinitialbegind=0;#120;d=1;#100;d=0;#105;d=1;#100;end
endmodule

 2.2.2仿真结果

http://www.hengruixuexiao.com/news/9319.html

相关文章:

  • html制作网页代码模板seo专员很难吗
  • 关于做网站的河北高端网站建设
  • 个人网站建立内容个人博客网页设计html
  • 网站建设制作方案竞价托管咨询微竞价
  • wordpress各个文件seo网站关键词优化方式
  • 渭南哪家公司可以做网站百度下载安装app
  • 襄阳门做网站推广方案100个
  • 视频网站怎么引流站长工具seo综合查询关键词
  • 网站如何做才能被百度等收录上海网站seo招聘
  • 网站主题说明怎么写推广任务发布平台app
  • 我和你99谁做的网站百度指数工具
  • 中山市城乡住房建设局网站关键词优化报价查询
  • 单位申请免费网站百度查重入口
  • 网站可以微信支付是怎么做的发布广告的平台免费
  • 禅城网站建设价格百度指数里的资讯指数是什么
  • 做网站日志步骤上海专业排名优化公司
  • seo网站推广排名广州宣布5条优化措施
  • 微商城 微网站制作十大免费网站推广
  • 婚纱摄影的网站模板友情链接推广平台
  • 郑州市多商家网站制作公司网络营销成功案例有哪些2022
  • 银川 网站建设一句话让客户主动找你
  • 网站开发做原型吗12月10日新闻
  • 三d全景网站建设磁力蜘蛛
  • 武汉建设管理局网门户网站媒体软文推广平台
  • 做网站建设需要会哪些网络营销推广方法
  • 桐乡市建设局官方网站优化关键词排名工具
  • 厦门网站建设找哪家比较好搜狗首页排名优化
  • 乡林建设集团官方网站淘宝优化关键词的步骤
  • 中国站长站游戏推广公司靠谱吗
  • 查看网站服务器版本seo优化工作怎么样